首页|资源下载
登录|注册

Verilog

  • 基于Verilog HDL设计的数字时钟

    计数器的设计程序和方案, 及设计报告。基于Verilog HDL设计的数字时钟 设计报告

    /dl/212848.html

    标签: eda Verilog HDL

    上传时间: 2022-09-29

    上传用户:luokh

  • 关于MX25L6445E开发时间(Verilog语言)实验

    关于MX25L6445E开发时间(Verilog语言)实验,关于MX25L6445E开发时间(Verilog语言)实验

    /dl/212893.html

    标签: Verilog

    上传时间: 2022-09-30

    上传用户:gongpigu321

  • Verilog-HDL讲座第4讲Verilog-HDL仿真软件的基本操作

    该文档为Verilog-HDL讲座第4讲Verilog-HDL仿真软件的基本操作讲解文档,是一份不错的参考资料,感兴趣的可以下载看看,,,,,,,,,,,,,,,,,

    /dl/212912.html

    标签: verilog

    上传时间: 2022-09-30

    上传用户:x532115561

  • cordic源码verilog

    我上传的是cordic源码,已经由本人测试通过。请放心使用。。。

    /dl/203581.html

    标签: cordic源码 verilog

    上传时间: 2021-02-08

    上传用户:黄大白

  • verilog秘籍

    学习方法和必备的工具使用方法,让每个想学习这门技术的人能够很快了解他并且很快上手

    /dl/203758.html

    标签: verilog 秘籍

    上传时间: 2021-02-13

    上传用户:少年已不年少

  • 按键消抖模块Verilog

    按键消抖模块。设计的方法主要是由“电平检查模块”和“10ms延迟模块”组合合成。

    /dl/204348.html

    标签: 键盘检测 Verilog

    上传时间: 2021-03-06

    上传用户:zhaofie1133

  • 同步FIFO

    verilog同步FIFO,已通过modelsim仿真,一个是设计模块,一个是testbench

    /dl/204630.html

    标签: 同步FIFO verilog

    上传时间: 2021-03-18

    上传用户:sunset99

  • VERILOG数字频率计

    FPGA数字电路设计,Vhd法编写的源程序,M/T法测量频率实现全频与等精度测量

    /dl/205097.html

    标签: verilog 数字 频率

    上传时间: 2021-04-07

    上传用户:如果我说2你

  • Verilog 单周期CPU

    自己设计的单周期CPU,可以直接运行查看结果!源码!

    /dl/207223.html

    标签: verilog 周期 cpu

    上传时间: 2021-08-12

    上传用户:哒哒哒

  • FPGA读写OV5640摄像头显示例程 Verilog逻辑源码Quartus工程文件+文档说明 FP

    FPGA读写OV5640摄像头显示例程 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。本实验将采用 500 万像素的 OV5640 摄像头模组(模块型号:AN5640)为大家显示更高分辨率的视频画面。OV5640 摄像头模组最大支持 QSXGA (2592x1944)的拍照功能,支持 1080P、720P、VGA、QVGA ...

    /dl/207425.html

    标签: fpga ov5640 摄像头

    上传时间: 2021-08-27

    上传用户:thisismyhouse