首页|资源下载
登录|注册

您现在的位置是:电子研发网 > 资源下载 > 多功能数字钟,用vhdl语言编写

多功能数字钟,用vhdl语言编写

  • 资源大小:900
  • 上传时间: 2021-02-13
  • 上传用户:kingking1971
  • 资源积分:2 下载积分
  • 标      签: vhdl fpga

资 源 简 介

多功能数字钟,用vhdl语言编写,适合eda新手练习状态机和掌握时序

文 件 预 览

文件名文件大小修改时间
shuzizhong1/an_jian.bsf4KB2014-12-02 23:20:30
shuzizhong1/an_jian.vhd4KB2014-12-03 13:35:30
shuzizhong1/an_jian.vhd.bak4KB2014-11-26 18:48:18
shuzizhong1/bao_shi.bsf2KB2014-12-02 23:20:52
shuzizhong1/bao_shi.vhd1KB2014-11-26 21:12:26
shuzizhong1/clock.bsf4KB2014-12-02 23:20:36
shuzizhong1/clock.vhd4KB2014-12-03 13:46:22
shuzizhong1/clock.vhd.bak4KB2014-11-26 18:50:32
shuzizhong1/db/logic_util_heursitic.dat16KB2014-12-03 13:53:04
shuzizhong1/db/prev_cmp_shuzizhong.qmsg88KB2014-12-03 13:52:58
shuzizhong1/db/shuzizhong.(0).cnf.cdb2KB2014-12-02 23:22:34
...

相 关 资 源